Cara Membedakan Sinyal dan Variabel dalam VHDL

Perbedaan yang menonjol antara sinyal dan variabel dalam VHDL adalah sinyal adalah objek dengan nilai sejarah masa lalu, sedangkan variabel adalah objek dengan nilai arus tunggal.

VHDL adalah HDL yang populer , yang merupakan singkatan dari Bahasa Deskripsi Tingkat Tinggi. Umumnya, sirkuit digital beroperasi dalam dua tingkat diskrit – benar dan salah. Di sini, HDL membantu melakukan operasi ini. Selanjutnya, bahasa-bahasa ini memiliki struktur pemrograman seperti struktur kontrol, ekspresi, dan pernyataan. Selain itu, bahasa dasar untuk VHDL adalah Ada dan Pascal.

Topik bahasan kami tentang:

  1. Apa itu Sinyal dalam VHDL – Definisi, Fungsionalitas 2. Apa itu Variabel dalam VHDL – Definisi, Fungsionalitas 3. Perbedaan Antara Sinyal dan Variabel dalam VHDL    – Perbandingan Perbedaan Kunci

Istilah Utama

HDL, Sinyal, Variabel, VHDL

Yang perlu anda ketahui tentang Sinyal dalam VHDL

Sinyal setara dengan kabel yang menunjukkan saluran komunikasi antara pernyataan bersamaan dari spesifikasi sistem. Selain itu, sinyal membantu memodelkan karakteristik perangkat keras bawaan seperti konkurensi dan bus dengan banyak sumber penggerak. Selanjutnya, setiap sinyal memiliki sejarah nilai. Dimungkinkan juga untuk memiliki beberapa driver dengan nilai saat ini dan nilai masa depan yang dilindungi. Selain itu, atribut sinyal membantu untuk mengakses sinyal.

Pemrogram dapat mendeklarasikan sinyal di bagian deklaratif. Dengan demikian, sinyal yang dideklarasikan dalam sebuah paket dapat dilihat oleh semua entitas desain yang menggunakan paket tersebut. Selanjutnya, beberapa sinyal hanya terlihat di dalam arsitektur. Maka dari itu, sinyal yang dideklarasikan dalam blok hanya untuk blok tertentu. Selanjutnya, jika sebuah sinyal berada dalam subprogram, sinyal tersebut hanya terlihat di subprogram tersebut.

Di bawah ini adalah bagian kode dengan deklarasi sinyal.

perpustakaan IEEE; gunakan IEEE.Std_Logic_1164.all; entitas DataTransm adalah port (Data: Std_Logic_Vector(15 hingga 0 )); DataTransm entitas akhir ; arsitektur ExDecl dari DataTransm adalah sinyal Temp: Std_Logic; sinyal FlagC, FlagZ: Bit; mulai

Selain itu, deklarasi sinyal terdiri dari pengidentifikasi tunggal atau ganda. Dan, setiap nama sinyal adalah pengidentifikasi dan menciptakan sinyal individual. Juga, bisa ada indikator subtipe. Selain itu, dimungkinkan untuk menetapkan nilai awal dalam deklarasinya.

Yang perlu anda ketahui tentang Variabel dalam VHDL

Variabel adalah objek yang menyimpan informasi lokal untuk proses dan subprogram di mana mereka didefinisikan. Nilai-nilai ini dapat dimodifikasi selama simulasi melalui pernyataan penugasan variabel. Selain itu, deklarasi variabel dapat mencakup pengidentifikasi tunggal atau ganda, indikasi subtipe dan ekspresi statis global opsional. Sebagai contoh, kode dengan deklarasi variabel adalah sebagai berikut.

jenis Mem adalah array ( Rentang alami <>, Rentang alami <>) dari Std_Logic; variabel Delay1, Delay2: Waktu; variabel RAM1: Mem (0 hingga 1023, 0 hingga 8);

Nilai default dari variabel digunakan untuk menginisialisasi variabel yang dideklarasikan dalam proses. Pada awalnya, mereka dapat diberikan secara eksplisit atau implisit. Setiap kali subprogram dipanggil, variabel dideklarasikan dalam subprogram. Namun, ruang lingkup variabel hanya terbatas pada proses atau subprogram yang ditentukan. Namun demikian, ini berubah ketika ada beberapa proses dengan variabel bersama. Tapi, penting untuk menghindari situasi seperti ini karena dapat memberikan hasil yang tidak terduga.

Perbedaan Antara Sinyal dan Variabel dalam VHDL

Definisi

Sinyal adalah objek utama yang menggambarkan sistem perangkat keras dan setara dengan “kabel”. Di sisi lain, variabel adalah objek yang menyimpan informasi lokal untuk proses dan subprogram (prosedur dan fungsi) di mana mereka didefinisikan.

Dasar

Dengan demikian, Perbedaan yang menonjol antara sinyal dan variabel dalam VHDL adalah sinyal adalah objek dengan nilai sejarah masa lalu, sedangkan variabel adalah objek dengan nilai arus tunggal.

Sintaksis

variabel variabel_name : tipe; dan variabel variable_name : type := initial_value; adalah sintaks sinyal dalam VHDL. Di sisi lain, sinyal signal_name: type; AND sinyal signal_name: type: = initial_value; adalah sintaks variabel dalam VHDL. Maka dari itu, ini adalah perbedaan lain antara Sinyal dan Variabel dalam VHDL.

Kata terakhir

Kesimpulannya, insinyur elektronik dan pemrogram menggunakan VHDL untuk merancang sistem digital. Sinyal dan variabel adalah dua objek dalam pemrograman VHDL. Namun, Perbedaan yang menonjol antara sinyal dan variabel dalam VHDL adalah sinyal adalah objek dengan nilai sejarah masa lalu, sedangkan variabel adalah objek dengan nilai arus tunggal.

Sumber bacaan:
  1. “Deklarasi Sinyal.” VHDL, Tersedia di sini . 2. “Deklarasi Variabel.” VHDL, Tersedia di sini .
Sumber gambar:
  1. “Sumber VHDL untuk penambah bertanda tangan” Oleh Vhdl_signed_adder.png: Karya turunan RevRagnarok: Bernard Ladenthin – Karya sendiri, File ini berasal dari: Vhdl penambah bertanda tangan.png: (CC BY-SA 3.0) melalui Commons Wikimedia

Related Posts